Login / Signup

Low-Temperature (≤500 °C) Complementary Schottky Source/Drain FinFETs for 3D Sequential Integration.

Shujuan MaoJianfeng GaoXiaobin HeWeibing LiuJinbiao LiuGui-Lei WangNa ZhouYanna LuoLei CaoRan ZhangHaochen LiuXun LiYongliang LiZhen-Hua WuJunfeng LiJun LuoChao ZhaoWenwu WangHuaxiang Yin
Published in: Nanomaterials (Basel, Switzerland) (2022)
In this work, low-temperature Schottky source/drain (S/D) MOSFETs are investigated as the top-tier devices for 3D sequential integration. Complementary Schottky S/D FinFETs are successfully fabricated with a maximum processing temperature of 500 °C. Through source/drain extension (SDE) engineering, competitive driving capability and switching properties are achieved in comparison to the conventional devices fabricated with a standard high-temperature (≥1000 °C) process flow. Schottky S/D PMOS exhibits an ON-state current ( I ON ) of 76.07 μA/μm and ON-state to OFF-state current ratio ( I ON / I OFF ) of 7 × 10 5 , and those for NMOS are 48.57 μA/μm and 1 × 10 6 . The CMOS inverter shows a voltage gain of 18V/V, a noise margin for high ( NM H ) of 0.17 V and for low ( NM L ) of 0.43 V, with power consumption less than 0.9 μW at V DD of 0.8 V. Full functionality of CMOS ring oscillators (RO) are further demonstrated.
Keyphrases
  • high temperature
  • photodynamic therapy
  • air pollution