Login / Signup

A Novel Dry Selective Isotropic Atomic Layer Etching of SiGe for Manufacturing Vertical Nanowire Array with Diameter Less than 20 nm.

Junjie LiYongliang LiNa ZhouGuilei WangQingzhu ZhangAnyan DuYongkui ZhangJianfeng GaoZhenzhen KongHongxiao LinJinjuan XiangChen LiXiaogen YinYangyang LiXiaolei WangHong YangXueli MaJianghao HanJing ZhangTairan HuTao YangJunfeng LiHuaxiang YinHuilong ZhuGui-Lei WangHenry H Radamson
Published in: Materials (Basel, Switzerland) (2020)
Semiconductor nanowires have great application prospects in field effect transistors and sensors. In this study, the process and challenges of manufacturing vertical SiGe/Si nanowire array by using the conventional lithography and novel dry atomic layer etching technology. The final results demonstrate that vertical nanowires with a diameter less than 20 nm can be obtained. The diameter of nanowires is adjustable with an accuracy error less than 0.3 nm. This technology provides a new way for advanced 3D transistors and sensors.
Keyphrases
  • room temperature
  • optic nerve
  • photodynamic therapy
  • ionic liquid
  • high throughput
  • low cost
  • high resolution
  • light emitting
  • high density
  • optical coherence tomography
  • electron microscopy
  • gold nanoparticles