Login / Signup

The Study of Reactive Ion Etching of Heavily Doped Polysilicon Based on HBr/O2/He Plasmas for Thermopile Devices.

Na ZhouJunjie LiHaiyang MaoHao LiuJinbiao LiuJianfeng GaoJinjuan XiangYanpeng HuMeng ShiJiaxin JuYuxiao LeiTao YangJunfeng LiWenwu Wang
Published in: Materials (Basel, Switzerland) (2020)
Heavily doped polysilicon layers have been widely used in the fabrication of microelectromechanical systems (MEMS). However, the investigation of high selectivity, anisotropy, and excellent uniformity of heavily doped polysilicon etching is limited. In this work, reactive ion etching of undoped and heavily doped polysilicon-based hydrogen bromide (HBr) plasmas have been compared. The mechanism of etching of heavily doped polysilicon is studied in detail. The final results demonstrate that the anisotropy profile of heavily doped polysilicon can be obtained based on a HBr plasma process. An excellent uniformity of resistance of the thermocouples reached ± 2.11%. This technology provides an effective away for thermopile and other MEMS devices fabrication.
Keyphrases
  • quantum dots
  • visible light
  • highly efficient
  • metal organic framework
  • tissue engineering