Login / Signup

A Survey of MPSoC Management toward Self-Awareness.

Guillermo Gonzalez-MartinezRemberto Sandoval-ArechigaLuis Octavio Solis-SanchezLaura Garcia-LucianoSalvador Ibarra-DelgadoJuan Ramon Solis-EscobedoJosé Ricardo Gómez-RodríguezViktor Ivan Rodriguez-Abdala
Published in: Micromachines (2024)
Managing Multi-Processor Systems-on-Chip (MPSoCs) is becoming increasingly complex as demands for advanced capabilities rise. This complexity is due to the involvement of more processing elements and resources, leading to a higher degree of heterogeneity throughout the system. Over time, management schemes have evolved from simple to autonomous systems with continuous control and monitoring of various parameters such as power distribution, thermal events, fault tolerance, and system security. Autonomous management integrates self-awareness into the system, making it aware of its environment, behavior, and objectives. Self-Aware Cyber-Physical Systems-on-Chip (SA-CPSoCs) have emerged as a concept to achieve highly autonomous management. Communication infrastructure is also vital to SoCs, and Software-Defined Networks-on-Chip (SDNoCs) can serve as a base structure for self-aware systems-on-chip. This paper presents a survey of the evolution of MPSoC management over the last two decades, categorizing research works according to their objectives and improvements. It also discusses the characteristics and properties of SA-CPSoCs and explains why SDNoCs are crucial for these systems.
Keyphrases
  • high throughput
  • circulating tumor cells
  • public health
  • mental health
  • physical activity
  • single cell