Login / Signup

Surface-Localized Sealing of Porous Ultralow-k Dielectric Films with Ultrathin (<2 nm) Polymer Coating.

Seong Jun YoonKwanyong PakTaewook NamAlexander YoonHyungjun KimSung Gap ImByung Jin Cho
Published in: ACS nano (2017)
Semiconductor integrated circuit chip industries have been striving to introduce porous ultralow-k (ULK) dielectrics into the multilevel interconnection process in order to improve their chip operation speed by reducing capacitance along the signal path. To date, however, highly porous ULK dielectrics (porosity >40%, dielectric constant (k) <2.4) have not been successfully adopted in real devices because the porous nature causes many serious problems, including noncontinuous barrier deposition, penetration of the barrier metal, and reliability issues. Here, a method that allows porous ULK dielectrics to be successfully used with a multilevel interconnection scheme is presented. The surface of the porous ULK dielectric film (k = 2.0, porosity ∼47%) could be completely sealed by a thin (<2 nm) polymer deposited by a multistep initiated chemical vapor deposition (iCVD) process. Using the iCVD process, a thin pore-sealing layer was localized only to the surface of the porous ULK dielectric film, which could minimize the increase of k; the final effective k was less than 2.2, and the penetration of metal barrier precursors into the dielectric film was completely blocked. The pore-sealed ULK dielectric film also exhibited excellent long-term reliability comparable to a dense low-k dielectric film.
Keyphrases
  • metal organic framework
  • room temperature
  • tissue engineering
  • reduced graphene oxide
  • photodynamic therapy
  • high throughput
  • mental health
  • gold nanoparticles